文章 ID: 000074853 內容類型: 產品資訊與文件 最近查看日期: 2019 年 12 月 21 日

使用 10 和 Intel Cyclone 10 GX 裝置時,如何 Intel® Arria®使用內部和外部記憶體模型模擬 ASMI Intel FPGA IP®?

環境

  • Intel® Quartus® Prime Pro Edition 軟體
  • 一般元件
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    描述

    針對內部記憶體模型使用案例,我們目前僅支援在 Intel® Arria® 10 和 Intel Cyclone® 10 GX 裝置中模擬具有 EPCQL1024 序列設定裝置的 ASMI Intel FPGA IP。

    請按照解析度區段中的步驟執行內部 EPCQL 模型的模擬(注意:此模擬已透過 Mentor Graphics* ModelSim* SE 模擬軟體進行測試)。

    解決方法
    1. 建立ASMI 區塊的模擬模型,並將enable_sim參數為真實的檔案:
      <project directory>/<ASMIIP 名稱>/<altera_asmi_parallel_<quartus_version>>/<sim>/<ip_name>altera_asmi_parallel_<quartus_version>_<unique_ip_id>.v
    2. 建立 測試台檔案,初始化 ASMI 區塊,如下列範例所示:

    <ip_name>_altera_asmi_parallel_<quartus_version>_<unique_ip_id><unique_ip_id>(

    .clkin (clkin),// clkin.clk

    .fast_read(fast_read)、// fast_read.fast_read

    .rden (rden),// rden.rden

    .addr (新增工具),// addr.addr

    .read_status(read_status)、// read_status.read_status

    .寫入(寫入),//寫入.寫入

    .datain (datain),// datain.datain

    .sector_protect(sector_protect)、/sector_protect.sector_protect

    .sector_erase (sector_erase)、// sector_erase.sector_erase

    .die_erase(die_erase)、// die_erase.die_erase

    .wren (wren), // wren.wren

    .read_rdid(read_rdid)、// read_rdid.read_rdid

    .en4b_addr (en4b_addr),// en4b_addr.en4b_addr

    .ex4b_addr (ex4b_addr),// ex4b_addr.ex4b_addr

    .reset (重設),// 重設.重設

    .sce (sce),// sce.sce

    .dataout (資料外存),// dataout.dataout

    .忙碌(忙碌),// 忙碌。

    .data_valid (data_valid),// data_valid.data_valid

    .status_out(status_out)、/status_out.status_out

    .illegal_write(illegal_write)、/illegal_write.illegal_write

    .illegal_erase(illegal_erase)、// illegal_erase.illegal_erase

    .read_address(read_address),// read_address.read_位址

    .rdid_out (rdid_out) // rdid_out.rdid_out

    );

    1. 執行 模擬。

    若要使用外部記憶體模型進行模擬,請按照下列步驟操作:

    1. 建立 ASMI 區塊的模擬模型,並將 enable_sim 參數設定為檔案中的錯誤:
      <project directory>/<ASMI IP 名稱>/<altera_asmi_parallel_<quartus_version>>/<sim>/<ip_name>altera_asmi_parallel_<quartus_version>_<unique_ip_id>.v
    2. 建立 具有 ASMI 區塊初始化的測試台檔案(如上一個代碼範例所示):
    3. 外部記憶體建立包裝
    4. 在模擬專案中,為您的工作資料夾編譯下列檔案:
    • 快閃記憶體模擬模型
    • asmi_sim_model設計包裝
    • testbench 檔案
    1. 執行 模擬。

    相關產品

    本文章適用於 2 產品

    Intel® Cyclone® 10 GX FPGA
    Intel® Arria® 10 FPGA 與 SoC FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。