文章 ID: 000074903 內容類型: 疑難排解 最近查看日期: 2012 年 09 月 11 日

為什麼 PLL 重新配置會對我的頻率輸出產生錯誤的結果?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
描述 從 Quartus II 軟體版本 4.2 開始,配接器可能會將 PLL 輸出頻率從不同路由 比設計者指定的計數器,以改善路由。 例如,在設計中連接到埠 C0 的頻率可能無法連接到 C0 計數器 (可能會路由至 C2 計數器,因為這可能 改善設計路線的能力)。 在這種情況下,用於 PLL 重新配置的 PLL 掃描鏈檔案可能無法將目標對準計數器。 檔案可以依設計者規劃重新設定 C0 計數器, 但是 C2 計數器是連接輸出頻率的計數器。 然後,C2 頻率會以與預期不同的設定重新設定,因此可能會產生無法預測的結果。

針對該 PLL 設定PRESERVE_PLL_COUNTER_ORDER邏輯選項開啟。 或者,設計者可以檢查 編譯報告檔案中的 PLL 使用方式,並調整重新配置掃描鏈檔案以瞄準 Quartus II 選取的計數器。

相關產品

本文章適用於 1 產品

Stratix® II FPGA

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。