文章 ID: 000075215 內容類型: 錯誤訊息 最近查看日期: 2013 年 03 月 11 日

內部錯誤:子系統:U2B,檔:/quartus/db/u2b/u2b_translator_av_hmcphyint.cpp,行:2939

環境

  • Intel® Quartus® II 訂閱版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    描述

    由於 Quartus 的問題® II 軟體版本 12.0 SP2,如果您的設計包含硬記憶體控制器,並且以Arria® V 裝置為目標,在安裝過程中可能會看到這個錯誤。

    解決方法

    若要解決這個問題,請從以下連結下載並安裝修補程式 2.18。安裝此修補程式之前,您必須安裝 Quartus II 軟體版本 12.0 SP2。

    此問題從 Quartus II 軟體版本 12.1 開始修復。

    相關產品

    本文章適用於 4 產品

    Arria® V SX SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GT FPGA
    Arria® V GX FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。