文章 ID: 000075394 內容類型: 疑難排解 最近查看日期: 2018 年 01 月 22 日

為什麼 Low Latency Ethernet 10G MAC 的動態生成多速率範例設計失敗編譯Stratix 10 裝置?

環境

  • Intel® Quartus® Prime Pro Edition 軟體
  • 低延遲乙太網路 10G MAC Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    重大問題

    描述

    由於 Intel® Quartus® Prime 版本 17.1 的問題,如果低延遲乙太網路 10G MAC 範例設計將「類比電壓」設定變更為低延遲乙太網路 10G MAC 範例之1_1V,則動態產生的多速率範例設計將無法編譯。

    下列是受影響的多速率範例設計變異:

    1. 10G USXGMII 乙太網路範例設計(Intel® Stratix®10)
    2. 10M/100M/1G/2.5G/10G 乙太網路範例設計 (Stratix 10)
    3. 1G/2.5G 乙太網路,含 1588 範例設計 (Stratix 10)
    4. 1G/2.5G/10G 乙太網路,含 1588 範例設計(Stratix 10)
    解決方法

    為了解決這個問題,從產生的多速率範例設計專案啟動下列 IP 的 IP 參數編輯,並手動變更「收發器的VCCR_GXB與VCCT_GXB支援電壓」設定為1_1V。

    1. Stratix 10 L-Tile/H-tile 收發器 fPLL (開啟位於 \rtl\pll_fpll並變更設定的 .ip 檔案)
    2. Stratix 10 L-Tile/H-tile 收發器 ATX PLL (開啟位於 \rtl\pll_atxpll的 .ip 檔案並變更設定)
    3. 1G/2.5G/5G/10G 多速率乙太網路實體層 (開啟位於 \rtl\phy 並變更設定的 .ip 檔案)

    這個問題已在 Quartus Prime 版本 17.1.1 中修復。

    相關產品

    本文章適用於 1 產品

    Intel® Stratix® 10 FPGA 與 SoC FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。