文章 ID: 000076130 內容類型: 疑難排解 最近查看日期: 2015 年 11 月 20 日

使用 Riviera-PRO Arria 10 EMIF IP 的 VHDL 模擬在某些情況下可能無法取得進展

環境

  • Intel® Quartus® Prime Pro Edition 軟體
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    重大問題

    描述

    此問題影響到 Arria 10 個裝置上所有支援的外部記憶體通訊協定。 如果您的設計使用具有 Altera EMIF 匯流排功能的 VHDL 模擬模型 在 2015.06 年之前使用 Riviera-PRO 版本進行模擬的模型可能無法 進展。

    解決方法

    此問題的解決方法如下:

    • 使用 Verilog 而不是 VHDL 進行模擬。此問題僅會在 Vhdl。
    • 使用不同的模擬器,而不是 Riviera-PRO。此問題僅會發生 與 Riviera-PRO 合作。

    這個問題將會在未來的版本中得到解決。

    相關產品

    本文章適用於 1 產品

    Intel® Arria® 10 FPGA 與 SoC FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。