文章 ID: 000076734 內容類型: 產品資訊與文件 最近查看日期: 2020 年 10 月 07 日

在僅使用基本模式編譯 JESD204C Intel® FPGA IP的設計時,如何解決時序關閉警告?

環境

  • Intel® Quartus® Prime Pro Edition 軟體
  • JESD204B Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    描述

    在 Intel® Quartus® Prime Pro Edition 軟體版本 20.1 或 20.2 中,使用 JESD204C Intel® FPGA IP在基本模式下編譯設計時,您可能會看到以下部分或全部的警告訊息。

     

    訊息 ID

    訊息文字

    17897

    從[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|delayed_wrptr_g*[]]]到「[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_,沒有找到符合set_net_delay作業的目的地頻率期間dcfifo132b_m20k|dcfifo_component|auto_generated|rs_dgwp|dffpipe*|dffe*[]」。這項任務將會被忽略。

    332182

    從 [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|*rdptr_g*[]]到 [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|,找不到令人滿意的作業「set_max_skewauto_generated|ws_dgrp|dffpipe*|dffe*[]-get_skew_value_from_clock_period src_clock_period-skew_value_multiplier 0.800 」。這項任務將會被忽略。

    332174

    intel_jesd204c.sdc (81): - 群組無法與頻率相符

    332049

    intel_jesd204c.sdc (64) 對create_clock置之不理:論證是空蕩蕩的集合

    332054

    轉讓set_clock_groups已接受,但在 intel_jesd204c.sdc (81) 有一些問題:Argument -group with value -group {j204c_txphy_clk[0]] -group {j204c_txphy_clk[1]] -group {j204c_txphy_clk[2]] -group {j204c_txphy_clk[3]] -組 {j204c_txphy_clk[4]] -組 {j204c_txphy_clk[5]] -組 {j204c_txphy_clk[6]] -組 {j204c_txphy_clk[7]] 無法符合下列類型中的任何元素: ( clk )

    332060

    節點:j204c_txphy_clk確定為頻率,但未進行相關的頻率分配即可找到。

    解決方法

    若要解決此問題,在 Intel® Quartus® Prime Pro Edition 軟體版本 20.1 或 20.2 中替換 intel_jesd204c.sdc 檔案中的某些行,如下所示。

     

    僅限 simplex rx 基底 (.../intel_jesd204c_rx_191/synth/intel_jesd204c.sdc):

    變更自:

    77 組overall_clock「」

    78 適用于 { set j 0® { $j < 4® { incr j™ {

    79 附錄overall_clock「群組 {j204c_rxphy_clk[$j]]

    80                           }

    81 set_clock_groups───asynchronous-group {j204c_rx_avs_clk™ -group {j204c_rxlink_clk j204c_rxframe_clk 7 $overall 7_clock

    77 組overall_clock「」

    78 組clock_grp「」

    79 適用于 { set j 0® { $j < 4® { incr j™ {

    80 附錄overall_clock「群組 {j204c_rxphy_clk[$j]]

    81                           }

    82 組 clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_rx_avs_clk™ -group {j204c_rxlink_clk j204c_rxframe_clk™

    83 附錄 clock_grp $clock_grp_tmp $overall_clock

    84 eval $clock_grp

     

    僅限 simplex 基底(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

    變更自:

    63 適用于 {set i 0® { $i < 4® {incr i™ {

    64 eval {create_clock -名稱「j204c_txphy_clk[$i]」-期間 3.945ns [get_ports j204c_txphy_clk[$i]]]

    65           }

    .

    .

    .

    78 適用于 { set j 0 { { $j < 4® { incr j™ {

    79 附錄overall_clock「群組 {j204c_txphy_clk[$j]]

    80                           }

    81 eval {set_clock_groups -asynchronous -group {j204c_tx_avs_clk® -組 {j204c_txlink_clk j204c_txframe_clk® $overall_clock®

    64 eval {create_clock -名稱「j204c_txphy_clk」-期間 3.945ns [get_ports j204c_txphy_clk]]

    .

    .

    .

    79 組 clock_grp「」

    80 附錄 overall_clock {-group {j204c_txphy_clk® =

    81 組 clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk™ -group {j204c_txlink_clk j204c_txframe_clk™

    82 附錄 clock_grp $clock_grp_tmp $overall_clock

    83 eval $clock_grp

     

    僅限雙工基底(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

    變更自:

            64 create_clock-名稱「j204c_rxphy_clk[$i]」-期間 3.945ns [get_ports j204c_rxphy_clk[$i]]

    65 create_clock-名稱「j204c_txphy_clk[$i]」-期間 3.945ns [get_ports j204c_txphy_clk[$i]]

    66                           }

    .

    .

    .

    86 組 overall_clock「」

    87 適用于 { set j 0 { { $j < 4® { incr j™ {

    88 附錄overall_clock「組 {j204c_rxphy_clk[$j]] -組 {j204c_txphy_clk[$j]]

    89                           }

    90 set_clock_groups ───asynchronous-group {j204c_tx_avs_clk j204c_rx_avs_clk™ -group {j204c_txlink_clk j204c_txframe_clk j204c_rxlink_clk j204c_rxframe_clk = $overall_clock

            64 create_clock-名稱「j204c_rxphy_clk[$i]」-期間 3.945ns [get_ports j204c_rxphy_clk[$i]]

    65                           }

    66 eval create_clock───名稱「j204c_txphy_clk」──期間 3.945ns [get_ports j204c_txphy_clk]

    .

    .

    .

    87 組 overall_clock「」

    88 組clock_grp「」

    89 附錄 overall_clock {-group {j204c_txphy_clk® =

    90 組 clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk™ -group {j204c_txlink_clk j204c_txframe_clk™

    91 適用于 { set j 0® { $j < 4® { incr j™ {

    92 附錄overall_clock「群組 {j204c_rxphy_clk[$j]]

    93                               }

    94 組 clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_rx_avs_clk™ -group {j204c_rxlink_clk j204c_rxframe_clk™

    95 附錄 clock_grp $clock_grp_tmp $overall_clock

    96 eval $clock_grp

     

    注意:所有頻率週期值均取決於使用者選定的值。

     

     

    此問題已從 Intel Quartus Prime Pro Edition 軟體版本 20.3 開始修復。

    相關產品

    本文章適用於 3 產品

    Intel® Agilex™ 7 FPGA 與 SoC FPGA F 系列
    Intel® Stratix® 10 MX FPGA
    Intel® Stratix® 10 TX FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。