文章 ID: 000080504 內容類型: 疑難排解 最近查看日期: 2020 年 11 月 16 日

為什麼 Intel® Quartus® Prime Pro Edition 軟體版本 20.2 和更早版本的編譯時間竟然會很長?

環境

  • Intel® Quartus® Prime Pro Edition 軟體
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    描述

    由於 Intel® Quartus® Prime Pro Edition 軟體版本 20.2 和更早版本的問題,您可能會發現針對 10 台裝置Intel® Stratix®設計,編譯時間竟然漫長。這是因為設計助理中有些規則檢查程式緩慢,而設計助理流程預設會啟用。

    解決方法

    若要解決此問題,請停用 DA 流程:

    1. 勾選「在編譯過程中啟用設計助理執行」的設定->設計助理規則設定,或
    2. 在專案的 QSF 中寫下下列 QSF 作業:

    set_global_assignment──姓名FLOW_ENABLE_DESIGN_ASSISTANT開啟

     

    此問題已從 Intel® Quartus® Prime Pro Edition 軟體版本 20.3 開始修復。

    相關產品

    本文章適用於 1 產品

    Intel® Stratix® 10 FPGA 與 SoC FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。