文章 ID: 000080866 內容類型: 疑難排解 最近查看日期: 2019 年 07 月 17 日

PCI Express* 解決方案 IP 的 Intel® Stratix® 10 Avalon®串流和單根 I/O 虛擬化 (SRIOV) 介面的 Riviera* 模擬錯誤。

環境

  • Intel® Quartus® Prime Pro Edition 軟體
  • 適用於 PCI Express* 的 Avalon-ST Intel® Stratix® 10 硬 IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    重大問題

    描述

    由於 ALDEC* Riviera* 模擬工具存在問題,在模擬 PCI Express* 解決方案 IP 的 Intel® Stratix® 10 Avalon®串流和單根 I/O 虛擬化 (SRIOV) 介面時,將出現以下或類似的錯誤。

    ALOG:錯誤:VCP2950 SEG_WIDTH*2 不是 defparam 的有效右側。

    解決方法

    使用 ALDEC* Riviera* 模擬工具時,沒有解決方法可用。其他支援的模擬器不會出現此問題。

    此問題已報告給 ALDEC*。已計畫在 ALDEC* Riviera* 模擬工具的未來版本中進行修復。

    相關產品

    本文章適用於 5 產品

    Intel® Stratix® 10 GX FPGA
    Intel® Stratix® 10 SX SoC FPGA
    Intel® Stratix® 10 MX FPGA
    Intel® Stratix® 10 TX FPGA
    Intel® Stratix® 10 FPGA 與 SoC FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。