Verilog HDL:單埠 RAM

author-image

作者

此範例說明 64 位 x 8 位單埠 RAM 設計,以及 Verilog HDL 中常見的讀取和寫入位址。合成工具能夠偵測 HDL 代碼中的單埠 RAM 設計,並根據目標裝置的架構自動推斷 altsyncram 或 altdpram 超級功能。

圖 1。單埠 RAM 頂級圖表。

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。