計時分析器範例:多週期例外

author-image

作者

使用 Synopsys® Design Constraint (SDC) 指令 set_multicycle_path,您可以指定可允許的頻率週期數量,包括目的地或來源頻率,以便資料在來源和目的地註冊器之間傳播。在圖 1 中所示的情境中,這很有用。

圖 1 顯示一個簡單的電路,目的地收銀機 reg2 需要多週期 2。註冊 reg2 應每秒頻率週期擷鎖這些資料。

圖 1。註冊註冊多週期路徑。

下面的 SDC 命令限制上述電路中的頻率。

#Constrain the base clock

create_clock -period 10.000 [get_ports clk_in]

#Constrain the PLL output clock

create_generated_clock -source inst|inclk[0] -multiply_by 2 \
-name inst|clk[1] inst|clk[1]

#Constrain the input and output ports

set_input_delay -clock clk_in 1.2 [get_ports data_in]
set_input_delay -clock clk_in 1.5 [get_ports async_rst]
set_output_delay -clock clk_in 2 [get_ports data_out]

#Apply a multicycle of 2 to registers reg1 and reg2
#By default the multicycle is relative to the destination clock waveform

set_multicycle_path -setup -end -from [get_pins reg1|clk] -to [get_pins reg2|*] 2

下載範例電路multicycle_exception.qar。

此設計之使用受 Intel® 設計範例授權合約的條款與細則約束,並受其約束。

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。