計時分析器收集命令

author-image

作者

時序分析器支援收集應用程式介面 (API),可輕鬆存取設計中的埠、針腳、單元或節點。您可以使用計時分析器中指定的任何有效限制或工具指令語言 (Tcl) 指令的收集 API。

表 1 說明計時分析器支援的收集命令。

如需更多有關收集的資訊,請參閱計時分析器章節或SDC 與計時分析器 API 參考手冊 (PDF)。

下列範例顯示 create_clockcreate_generated_clock 指令與收集指令的各種用途。

# 建立一個簡單的 10 ns,頻率為 60% 工作週期
create_clock-期間 10 -waveform {0 6] -name clk [get_ports clk]
# 以下多週期適用于以 clk set_multicycle_path計時在收銀機中結束的所有路徑
-至 [get_clocks clk] 2

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。