Intel® Quartus® Prime 軟體腳本支援

查找有關命令列和工具命令語言 (TCL) 腳本設計流的全面腳本支援的資訊。

Quartus® II

Tcl 腳本應用程式介面 (API) 包括涵蓋從基本到高級功能的命令。

Quartus® II 腳本參考手冊 (PDF)提供了涵蓋所有命令列選項和 Tcl 命令的完整參考。Quartus® II 設定檔案參考手冊 (PDF)列出並描述了所有 QSF 設定。

使用 Tcl API 執行以下任何任務:

  • 創建和管理專案
  • 進行分配
  • 編譯設計
  • 提取報告資料
  • 執行時序分析

例如,以下 Tcl 腳本使用 <qdesigns> 目錄中fir_filter教程設計的設計檔。該腳本創建一個專案,進行引腳、時鐘和時序分配,然後編譯設計。
load_package流程
project_new fir_filter -revision filtref -overwrite
set_global_assignment - 名稱 家族Cyclone
set_global_assignment名稱設備EP1C6F256C6
set_global_assignment -name BDF_FILE filtref.bdf
set_global_assignment -名稱 TOP_LEVEL_ENTITY filtref
# 您可以在此處進行其他引腳分配
set_location_assignment -to clk Pin_G1
create_base_clock -fmax 「100 MHz」 -目標頻率
create_relative_clock -base_clock 時鐘 -除以 2 \

-偏移 「500 ps」 -目標 CLKX2 時鐘B

set_multicycle_assignment -from clk -to clkx2 2
execute_flow 編譯
project_close
您可以使用命令列和 Tcl API 説明實用程式獲取 Tcl API 命令、說明和示例的完整清單。通過在命令提示符處鍵入以下命令來運行該實用程式:
quartus_sh --qhelp

Intel® FPGA提供了 Tcl 設計示例 ,您可以在自己的設計中學習、修改和使用。

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。