Intel® Quartus® Prime 設計軟體支援中心
Intel® Quartus® Prime 設計軟體主題,指導您完成所有軟體功能。
開始
Intel® Quartus® Prime 設計軟體套件包含將您的Intel® FPGA從概念變為生產所需的所有軟體設計工具。本網頁上的主題將指導您完成所有Intel® Quartus® Prime 軟體功能。選擇您感興趣的領域,並導航到 Intel® Quartus® Prime 設計流程中所需的特定資源。
- Intel® Quartus® Prime 軟體快速入門指南
- 有關如何設置專案、編譯、執行時序分析和編寫FPGA裝置程序的簡要指南。
- 先讀我!(ORMF1000)
- 44分鐘的免費在線課程。本課程是快速瞭解和使用Intel® FPGA產品、宣傳品和資源的起點。
Intel® Quartus® Prime 軟體包含定義、模擬、實現和調試FPGA設計所需的所有軟體工具。要開始使用,請按下下面的按鈕以下載和許可該軟體,並獲得一些快速入門指南。然後,查看為Intel® Quartus® Prime軟體提供的一些培訓材料 - 從簡短的在線教程到全天的講師指導課程。
1. 下載 Intel® Quartus® Prime 軟體
2. 獲得運行 Intel® Quartus® Prime 軟體的許可證
在「入門」部分中,我們列出了説明您入門的基本資源,包括快速入門指南、基本文檔的連結以及可用的在線和講師指導培訓課程的連結。
Intel® Quartus® Prime 軟體培訓
Intel 提供多種類型的在線與面對面訓練,協助您快速掌握 Intel® Quartus® Prime 設計流程。以下是一些建議的培訓課程,可説明您入門。
Intel® Quartus® Prime 軟體培訓
還有更多的培訓課程可供選擇。如需完整目錄,請參閱 Intel® FPGA 訓練 頁面。
1. I/O 規劃
I/O 規劃是在設計的早期階段完成FPGA以確保在滿足專用引腳和時序限制的同時,成功放置在目標設備中。
- Intel® Quartus® Prime Pro Edition 軟體提供了兩種工具來管理複雜的過程,以滿足 I/O 放置的諸多限制。
工具 | 說明 | I/O 規劃任務 | 如何訪問 |
---|---|---|---|
Interface Planner | Interface Planner 工具可管理整合具有針腳分配硬性要求的多個模組(例如 PCI Express*、DDR 和鎖相迴路 (PLL) 智慧財產 (IP) 核心)的複雜性。Interface Planner 會與 Intel® Quartus® Prime Fitter 動態互動,在您規劃時驗證放置合法性。您可以使用互動式報告評估不同的平面圖,以準確規劃最佳實施。 | 規劃介面和設備週邊 | Interface Planner >工具 |
釘選規劃器 | 針腳規劃器工具是一種低層次針腳分配工具。使用此設定來手動放置 I/O 針腳,並指定轉換速率和驅動強度。 | 編輯、驗證或匯出引腳分配 | Pin Planner >分配 |
學習硬體描述語言 (HDL)
Intel 提供多種 HDL 訓練課程,從免費的在線概覽到全天的講師指導課程。
課程 | 類型 | 持續時間 |
---|---|---|
Verilog HDL 基本知識 | 50 分鐘 | 線上, 免費 |
VHDL 基本知識 | 92 分鐘 | 線上, 免費 |
Verilog HDL Advanced | 8 小時 | 講師指導 |
搭載 Quartus® II 軟體的 SystemVerilog | 38 分鐘 | 線上, 免費 |
使用 HDL 範本
Intel® Quartus® Prime 軟體為常用邏輯元素提供了多個範本,例如寄存器、選定的信號分配、併發信號分配和子程式調用。範本在 Verilog、SystemVerilog 和 VHDL 中可用。
如果您不確定編寫特定函數以確保其正確實現的最佳方式,則應參考這些範本。範本系統在《設計建議使用者指南》的從 提供的範本插入 HDL 代碼 部分有完整描述。
推薦的 HDL 編碼風格
HDL 編碼風格對邏輯設計的結果質量有顯著影響。綜合工具將優化設計,但要獲得精確的結果,您需要以一種風格編碼,這種風格很容易被合成工具識別為特定的邏輯結構。
此外,還有良好的設計實踐,一般數位邏輯設計,特別是基於實驗室的設備應遵循這些實踐。管理邏輯重設方法、管道延遲和適當的同步信號生成是良好數位設計實踐的一些例子。下面列出了一些用於學習良好 HDL 編碼實踐的資源。
良好 HDL 編碼風格指南的資源
智慧財產權
Intel FPGAs 支援專為用於 Intel® FPGAs 而設計的大量智慧財產(IP)組合。每個IP都包含一個模擬模型,用於在元件實施前進行設計驗證。有關 Intel® Quartus® Prime 軟體中可用 IP 核心和 IP 生態系統的更多資訊,請參閱以下連結。
資源 | 說明 |
---|---|
Intel® FPGA IP產品群組 | Intel® FPGA IP投資組合概覽。 |
Intel® FPGA IP 核心簡介 | IP 目錄和參數編輯器如何在 Intel® Quartus® Prime 軟體中管理 IP 核心。 |
Intel® FPGA IP查找器 | Intel® FPGA IP核心的完整清單。 |
平台設計師
Platform Designer 是一種圖形化的系統整合工具,可讓您快速整合包含複雜元件的系統。
使用標準化互連框架(Avalon® 或 AMBA* AXI*),您可以整合來自第三方、您自己組織的 IP 或尚未定義的黑盒子模組的智慧財產權。 所有 Intel® FPGA IP 核心均符合 Platform Designer 介面規格。
平台設計師生成 HDL,以實例化到FPGA設計的其餘部分。
平台設計器文件
資源 | 說明 |
---|---|
使用 Platform Designer 建立系統 | 使用平台設計器的基礎知識。 |
創建平台設計器元件 | 如何整合在平台設計器中使用的智慧財產權 (IP) 元件。 |
Platform Designer Interconnect | Avalon®和AMBA* AXI* 互連標準中可用的記憶體對應介面和串流介面的詳細資訊。 |
優化 Platform Designer 系統效能 | 在平台設計系統中優化管道並處理總線仲裁。 |
元件介面 Tcl 參考 | 將IP整合到平台設計者系統中的應用程式程式設計介面 (API) 參考。 |
Platform Designer 系統設計元件 | 平台設計器中可用的互連元件的說明。 |
平台設計師(原 Qsys)訓練課程
課程 | 持續時間 | 類型 |
---|---|---|
使用 Platform Designer 建立系統設計:入門 | 28 分鐘 | 免費, 線上 |
Platform Designer 簡介 | 30 分鐘 | 免費, 線上 |
Platform Designer 系統整合工具簡介 | 8 小時 | 講師指導 |
Intel® Quartus® Prime Pro Edition 軟體中的平台設計器 | 63 分鐘 | 免費, 線上 |
使用Qsys的高級系統設計:元件和系統模擬 | 28 分鐘 | 免費, 線上 |
使用 Platform Designer 進行進階系統設計:系統優化 | 46 分鐘 | 免費, 線上 |
使用 Qsys 的進階系統設計:使用系統控制台進行系統驗證 | 26 分鐘 | 免費, 線上 |
使用 Qsys 的進階系統設計:利用層級 | 45 分鐘 | 免費, 線上 |
使用 Avalon® 和 Arm* AMBA* AXI 介面進行客製化 IP 開發 | 107分鐘 | 免費, 線上 |
平台設計師設計範例
資源 | 說明 |
---|---|
平台設計師 - 設計範例 | 在平台設計器中執行的記憶體測試器的可下載設計範例。 |
AXI* 記憶體設計範例 | 簡易 Verilog 自定義記憶體元件上的 AMBA* AXI*-3 代理介面。 |
BFM 模擬範例:HPS AXI* 橋接連接至 FPGA Core 的介面 | 硬核處理器系統 (HPS) 連接到 FPGA AXI* 網橋 (h2f)。 |
Avalon®驗證 IP 套件使用者指南 (PDF) | 總線功能模型 (BFM),用於使用Avalon®介面驗證IP核心。 |
設計檔案 (.zip) | |
Mentor Graphics* AXI* 驗證 IP 套件 (PDF) | BFM 使用AMBA* AXI* 介面驗證IP核心。 |
白皮書
資源 | 說明 |
---|---|
比較FPGA實施的IP整合方法 | 討論複雜FPGA裝置中的互連挑戰。 |
將晶元上網路架構的優點應用於FPGA系統設計 | 描述晶元上網路(NoC)架構在Intel® FPGA系統設計中的優勢。 |
3. 類比
模擬概述
Intel® Quartus® Prime 軟體在支援的 EDA 模擬器中支援 RTL 和門級設計模擬。
模擬涉及:
- 設置模擬器工作環境
- 編譯模擬模型庫
- 運行類比
Intel® Quartus® Prime 軟體支援使用腳本化模擬流程,在您偏好的模擬環境中自動處理模擬。
在 Intel® Quartus® Prime Standard Edition 軟體中,您可以選擇使用 NativeLink 工具流程,該工具流程會自動啟動您選擇的模擬器。
腳本化模擬流程
主題 | 說明 | 專業版 | 標準版 |
---|---|---|---|
模擬Intel® FPGA設計 | 使用平台設計器配置 IP 核心和系統時,會為支援的 EDA 模擬器生成模擬環境設定腳本。 | 第三方類比 | 第三方類比 |
Aldec Active-HDL | 本章提供了使用 Aldec Active-HDL 或 Riviera-PRO 軟體模擬 Intel® Quartus® Prime 設計的具體指南。 | Aldec Active-HDL 與 Riviera-PRO 支援 | Aldec Active-HDL 和 Riviera-PRO 指南 |
Cadence Incisive Enterprise | 本章提供使用 Cadence Xcelium* 平行模擬器軟體模擬Intel® Quartus® Prime Pro Edition 設計的具體指南。 | Cadence Xcelium* 平行模擬器支援 | 踏頻模擬器支援 |
Siemens EDA QuestaSim* | 本章提供使用支援的 Siemens EDA QuestaSim* 模擬器模擬Intel® Quartus® Prime 設計的指南。 | Siemens EDA QuestaSim* 模擬器支援 | 支援 Questa* Intel® FPGA 版、ModelSim® 和 Questa* 模擬器 |
Synopsys* VCS 與 VCS MX | 您可以在 Intel® Quartus® Prime 設計流程中包含支援的 EDA 模擬器。本檔提供使用 Synopsys VCS 或 VCS MX 軟體模擬 Intel® Quartus® Prime 設計的指南。 | Synopsys VCS* 與 VCS MX 支援 | Synopsys VCS* 與 VCS MX 支援 |
有關設置類比的指導,請參閱以下視頻: |
NativeLink 模擬流程
在 Intel® Quartus® Prime Standard Edition 軟體中,您可以選擇使用 NativeLink。這樣您就可以在修改原始碼或IP後自動啟動模擬設計所需的所有步驟。
NativeLink 功能透過自動化以下內容將您的 EDA 模擬器與 Intel® Quartus® Prime 標準版軟體整合:
- 生成模擬器特定的檔和模擬腳本。
- 模擬庫的編譯。
- 在Intel® Quartus® Prime 軟體分析和闡述、分析和合成后,或在完整編譯后自動啟動模擬器。
NativeLink 模擬設置的資源
原生連結類比設置資源資源 | 類型 | 說明 |
---|---|---|
使用 NativeLink 類比 | 使用者指南 | Intel Quartus Prime 標準版使用者指南中有一章:第三方模擬。 |
如何設置 NativeLink 類比 | 視頻 | 演示如何為簡單設計設置 NativeLink 的簡短視頻。 |
模擬 | 資源資源類型 | 說明 |
---|---|---|
模擬Intel® FPGA設計(Intel® Quartus® Prime Pro Edition) | 使用者指南 | Intel® Quartus® Prime Pro 版軟體的主要檔。 |
模擬Intel® FPGA設計(Intel® Quartus® Prime 標準版) | 手冊 | Intel® Quartus® Prime 標準版軟體的主要檔。 |
使用 Intel® FPGA-ModelSim* 模擬工具生成測試平臺 | 視頻 | 本影片將提供使用 Altera-Modelsim 生成測試平臺的最簡單方法。您可以在生成的測試平臺中使用 VHDL/Verilog 程式設計修改測試平臺。關注Intel FPGA,了解我們如何為成功而程式設計,並可以通過全面的解決方案説明您解決FPGA問題。 |
模擬Nios® II處理器設計 | 視頻 | 此影片介紹如何模擬Nios II處理器設計。關注Intel FPGA,了解我們如何為成功而程式設計,並可以通過全面的解決方案説明您解決FPGA問題。 |
如何模擬有源串行記憶體介面塊 | 視頻 | 本影片將向使用者展示如何使用主動串行記憶體介面模組模擬對第三方快閃記憶體的簡單讀寫。 |
在 16.1 版的 ModelSim* 中使用 Arria® 10 生成 PHYLite 範例設計模擬 | 視頻 | 本教程視頻演示了如何從Qsys中的自定義PHYLite設置生成模擬檔。它還將指導如何在ModelSim中設置模擬環境以運行PHYLite模擬。本視頻指南使用Arria 10 種特定設備、16.1 Quartus 和 ModelSim 10.5c。 |
如何模擬Cyclone® V 8b10b IP 位元組排序 | 視頻 | 本影片將向使用者展示如何在具有 8b10b 和雙寬 PCS 模式的 Cyclone V Native PHY 中執行手動字對齊和位元組排序。類似的方法適用於所有V系列器件。啟用雙寬 PCS 模式和位元組 SERDES 時,收發器將實現更高的數據速率。 |
使用供應商記憶體模型模擬 Arria® 10 RLDRAM3 | 視頻 | 本影片將向使用者展示如何執行範例設計模擬Intel FPGA將通用記憶體模型替換為廠商記憶體模型。 |
Ping Pong PHY DDR3 模擬 | 視頻 | 使用 Quartus II 軟體瞭解 Ping Pong PHY 設計,並使用 ModelSim 模擬器模擬 Ping Pong PHY 關注 Intel FPGA,了解我們如何為成功程式設計,並可以通過全面的解決方案説明您解決FPGA問題。 |
SoC HPS DDR3 核心的模擬 | 視頻 | 學習使用 Quartus II 軟體 v. 13.1 和 Qsys 系統整合工具、Questa Sim 10.1d 和 Linux 機器從 SoC HPS(硬核處理器系統)模擬 DDR3 核心 關注Intel FPGA,了解我們如何為成功程式設計,以及如何通過全面的解決方案説明您解決FPGA問題。 |
使用Platform Designer進行高級系統設計:元件和系統模擬 |
在線培訓 | 本訓練是第1部分(共4部分)。Platform Designer 系統整合工具可自動產生互連邏輯,以連接IP功能與子系統,節省大量時間。 28分鐘在線課程 |
4. 合成
合成概述
Intel® Quartus®軟體設計流程的邏輯合成階段將採用寄存器傳輸級 (RTL) 代碼並創建較低級原語的網表(合成後網表)。然後,合成后網表將用作 Fitter 的輸入,Fitter 將放置和布線設計。
Intel® Quartus® Prime 和 Quartus® II 軟體包括先進的整合合成和與其他第三方合成工具的介面。該軟體還提供原理圖網表查看器,您可以使用它們來分析設計結構並查看軟體如何解釋您的設計。
合成結果可以在 RTL 闡述之後和技術映射之後使用 Quartus® Netlist 查看器查看。
綜合文檔
標題 | 說明 |
---|---|
Quartus Prime 整合合成 | Intel® Quartus® Prime 軟體整合式合成工具可支援 VHDL、Verilog、SystemVerilog 及舊版Intel® FPGA特定設計輸入語言的合成。 |
合成支援 | Intel® Quartus® Prime 軟體工具流程還支援 Synplicity Synplify 和 Synplify Pro 邏輯合成器。 |
Mentor Graphics* 精確 RTL 支援 | Intel® Quartus® Prime 軟體工具流程還支援 Mentor Graphics* Precision RTL 合成器。 |
合成訓練與示範
標題 | 說明 |
---|---|
使用 Quartus® Prime 軟體:簡介 (ODSW1100) | 熟悉基本的 Quartus® Prime 軟體設計環境。您將瞭解基本的FPGA設計流程以及如何在流程中使用Quartus® Prime軟體。 這是一個80分鐘的在線課程。 |
Quartus® Prime 軟體設計系列:基礎 (標準)(ODSW1110) | 學習使用Quartus® Prime軟體開發FPGA或 CPLD 設計,從初始設計到裝置程式設計。 這是一個 3.5 小時的在線課程。 |
Quartus® Prime 軟體設計系列:基礎 (IDSW110) | 創建專案、輸入設計檔、編譯和配置設備,以查看設計在系統中的工作方式。輸入時序約束並使用時序分析器分析設計。瞭解該軟體如何與用於綜合和仿真的常用EDA 工具對接。 這是一個8小時的講師指導課程。 |
高層次合成
Intel 的高級綜合 (HLS) 工具會接收用 C++ 編寫的設計說明,並生成針對 Intel® FPGAs優化的 RTL 代碼。
有關Intel® HLS Compiler的更多資訊,包括文檔、示例和培訓課程,請查看 HLS 支援頁面。
5. 鉗工
鉗工 - 專業版
使用Intel® Quartus® Prime Pro Edition軟體,Fitter可以在可單獨控制的階段完成工作;您可以逐個優化每個階段,只需運行 Fitter 流程的該階段,反覆運算以優化該階段。
Fitter 階段 | 增量優化 |
---|---|
計劃 | 在此階段之後,您可以運行計劃後時序分析,以驗證時序約束並驗證跨時鐘時序視窗。查看佈局和外圍設備屬性,併為 Intel® Arria® 10 個FPGA和Intel® Cyclone® 10 個FPGA設計執行時鐘規劃。 |
早期地點 | 在此階段之後,晶元規劃器可以顯示設計元素的初始高級放置。使用此資訊來指導您的平面規劃決策。對於Intel® Stratix® 10 FPGA設計,您還可以在運行此階段後進行早期時鐘規劃。 |
地方 | 完成此階段后,在編譯報告中驗證資源和邏輯利用率,並在晶元規劃器中查看設計元素的位置。 |
路線 | 在此階段之後,在時序分析器中執行詳細設定並按住時序收斂,並通過晶元規劃器查看路由擁塞。 |
重新定時 | 在此階段之後,請在 Fitter 報告中查看重新定時結果,並更正限制進一步重新定時優化的任何限制。 |
默認情況下,Fitter 將運行其所有階段。但是,您可以在運行下一階段之前或在運行完整編譯之前分析 Fitter 階段的結果以評估您的設計。有關如何使用 Fitter 階段控制設計結果品質的更多資訊,請參閱編譯器使用者指南:Intel® Quartus® Prime Pro 版中的 運行 fitter 部分。
您可以指定多個設置來指示 Fitter 的工作量級別,例如寄存器包裝、收銀機複製和合併以及整體工作量級別。有關 Fitter 設置的更多資訊,請參閱《編譯器使用者指南:Intel® Quartus® Prime Pro 版》中“ Fitter 設置參考 ”部分下的討論。
6. 時序分析
時序分析概述
時序分析器可確定設計正確運作所必須滿足的時序關係,並根據所需時間檢查到達時間以驗證時序。
時序分析涉及許多基本概念:異步與同步電弧、到達和所需時間、設置和保持要求等。這些在《Intel® Quartus® Prime Standard Edition 使用者指南:時序分析器》的時 序分析基本概念 部分中定義。
時序分析器會應用您的時序約束,並根據 Fitter 將您的設計實施到目標裝置中的結果來確定時序延遲。
時序分析器必須根據對您的時序要求的準確描述(表示為時序約束)進行操作。Intel® Quartus® Prime Standard Edition 使用者指南:時序分析器的約束設計部分介紹了如何將時序 約束 添加到 .sdc 檔中,供 Fitter 和時序分析器使用。
時序收斂是完善時序約束的反覆運算過程;調整合成和Fitter的參數,並管理Fitter種子變化。
時間分析器
Intel Quartus素數時間分析器
Intel® Quartus® Prime 軟體中的時序分析器是一款強大的 ASIC 式時序分析工具,可使用業界標準約束、分析和報告方法驗證設計中所有邏輯的時序效能。時序分析器可以從圖形使用者介面或命令行介面驅動,以約束、分析和報告設計中所有時序路徑的結果。
有關時序分析器的完整使用者指南,請參閱《Intel® Quartus® Prime Standard Edition 使用者指南:時序分析器》的「 運行時序分析器 」部分。
如果您不熟悉時序分析,請參閱 Intel® Quartus® Prime Standard Edition 使用者指南:時序分析器的首次 用戶推薦流程 部分。這描述了使用基本約束的完整設計流程。
培訓課程 | 說明 |
---|---|
Intel® Quartus® Prime Pro 軟體時序分析 – 第 1 部分:時序分析器 | 您將在 Intel® Quartus® Prime Pro 軟體 20.3 版中學習時序分析器 GUI 的關鍵方面,重點是評估時序報告。 |
Intel® Quartus® Prime Pro 軟體時序分析 – 第 2 部分:SDC 集合 | 您將使用 Intel® Quartus® Prime Pro 軟體 20.3 版中的時序分析器,學習 Synopsys* 設計約束 (SDC) 格式的集合概念。 |
Intel® Quartus® Prime Pro 軟體時序分析 – 第 3 部分:頻率限制 | 您將學習如何在 Intel® Quartus® Prime Pro 軟體 20.3 版中,使用 Timing Analyzer 中的 Synopsys* 設計約束 (SDC) 格式建立時鐘、生成時鐘、時鐘不確定度和頻率組。 |
Intel® Quartus® Prime Pro 軟體時序分析 – 第 4 部分:I/O 介面 | 您將學習在 Intel® Quartus® Prime Pro 軟體 20.3 版中,在時間分析器中使用 Synopsys* 設計約束 (SDC) 格式來約束 I/O 介面的基礎知識。 |
Intel® Quartus® Prime Pro 軟體時序分析 – 第 5 部分:時序異常 | 您將在 Intel® Quartus® Prime Pro 軟體 20.3 版中,使用時序分析器中的 Synopsys* 設計約束 (SDC) 格式,瞭解並如何應用時序異常、錯誤路徑、多週期路徑以及最小和最大延遲。 |
時序分析:講座 | 您將學習如何在 Intel® Quartus® Prime Pro 軟體 v. 22.1 中使用時序分析器約束和分析時序設計。 |
時序分析:動手實驗 | 他的研討會是Intel FPGA時序分析:講座課程的後續。在開始實驗之前,將簡要回顧上一堂課中學到的 SDC 約束。 |
Intel® FPGA 時序收斂:講座 | 本課程教授設計專家用來接近設計時序的技術,從而「突破極限」設計。 |
Intel® FPGA 時序收斂:動手實驗 | 在本次研討會中,您的時間將主要用於使用 Intel® Quartus® Prime 軟體來練習時序收斂技術。 |
使用 TimeQuest 自定義報告的時序收斂 | 瞭解如何使用時序分析器中的 Intel® Quartus® Prime 時序收斂建議報告,以説明你找到可能導致時序故障的問題。 |
時序收斂
如果時序分析器確定未滿足您的時序規範,則必須針對時序優化設計,直到消除差異並滿足時序規格。
時序收斂涉及幾種可能的技術。最有效的技術會因每個設計而異。《設計優化使用者指南:Intel Quartus Prime Pro Edition 》中的時序收斂和優化章節提供了許多關於 時序收斂 過程的實用建議。
還有一些額外的培訓課程可説明您瞭解如何評估設計的正確時序收斂技術。
培訓課程 | 持續時間類型 | 課程編號 | |
---|---|---|---|
Intel® Quartus® Prime Pro 軟體中基於區塊的增量編譯:時序收斂和技巧 | 22 分鐘 | 線上, 免費 | OIBBC102 |
時序收斂的設計評估 | 42 分鐘 | 線上, 免費 | ODSWTC02 |
時序收斂的最佳 HDL 設計實踐 | 50 分鐘 | 線上, 免費 | OHDL1130 |
使用 TimeQuest 自定義報告的時序收斂 | 21 分鐘 | 線上, 免費 | OTIM1100 |
Intel® FPGA 時序收斂:講座 | 8 小時 | 講師指導 | IDSW145 |
7. 設計優化
設計優化概述
Intel® Quartus® Prime 和 Quartus® II 軟體包括各種功能,可説明您優化區域和時序設計。本節提供的資源可説明您使用設計優化技術和工具。
Intel® Quartus® Prime 和 Quartus® II 軟體提供物理合成網表優化,可進一步優化設計,超越標準編譯過程。無論使用何種合成工具,物理合成都有助於提高設計的性能。
優化支援檔
標題 | 說明 |
---|---|
區域與時序優化 | 本使用者指南部分說明在設計 Intel® 裝置時如何減少資源使用、縮短編譯時間,以及改善時序效能。 |
分析和優化設計平面圖 | 本使用者指南部分介紹如何使用晶元規劃器來分析和優化設計的平面圖。本章還說明如何使用邏輯鎖定區域來控制放置。 |
使用 Chip Planner 進行工程變更管理 | 本使用者指南章節介紹如何使用 Chip Planner 為支援裝置執行工程變更單 (ECO)。 |
網表優化和物理合成 | 本使用者指南部分說明 Intel® Quartus® Prime 軟體中的網表優化和物理合成如何修改設計的網表並説明提高結果品質。 |
增量編譯資源中心 | 此資源中心網頁顯示如何使用增量編譯來減少編譯時間並在優化期間保留結果。 |
設計優化訓練課程
課程 | 持續時間 | 類型 | 課程編號 |
---|---|---|---|
使用 Intel® Quartus® Prime Pro 軟體:Chip Planner | 29 分鐘 | 線上, 免費 | 奧普羅晶元計劃 |
使用設計空間瀏覽器 | 22 分鐘 | 線上, 免費 | 奧德斯 |
使用時序分析器自定義報告時序收斂 | 21 分鐘 | 線上, 免費 | OTIM1100 |
時序收斂的最佳設計實踐 | 50 分鐘 | 線上, 免費 | OHDL1130 |
設計優化工具
Intel® Quartus® Prime 軟體提供了以視覺方式呈現您的設計的工具。這些工具可讓您診斷設計中的任何問題區域,包括邏輯或物理效率低下的情況。
- 您可以使用 網表 查看器 查看 設計 在 實現 過程 的 多個 階段 的 示意圖 表示:合成前、合成之後以及放置布線之後。這使您能夠在每個階段確認您的設計意圖。
- Design Partition Planner 透過顯示時序資訊、相對連線密度和分區的物理放置,説明您可視化和修訂設計的分區方案。您可以在其他查看器中定位分區,或者修改或刪除分區。
- 使用 Chip Planner,您可以分配平面圖、執行電源分析,並將關鍵路徑和佈線壅塞可視化。Design Partition Planner 和 Chip Planner 允許您在更高層次上對設計進行分區和佈局。
- Design Space Explorer II (DSE) 會自動搜尋可在任何個別設計中提供最佳結果的設定。DSE 探索您設計的設計空間,應用各種優化技術,並分析結果以説明您發現設計的最佳設置。
使用這些工具可以説明您優化設備的實現。
網表查看器
Intel® Quartus® Prime 軟體網表查看器提供了在各個階段查看設計的強大方法。也可以與其他設計檢視進行交叉探測:您可以選擇一個專案並在Chip Planner和 Design File Viewer 視窗中突出顯示它。
- RTL 查看器顯示合成器在細化層次結構和主要邏輯塊后推斷的邏輯和連接。您可以使用 RTL 檢視器在模擬或其他驗證過程之前目視檢查您的設計。
- Technology Map Viewer(映射后)可以説明您在合成之後但在佈局和路由之前定位網表中的節點。
- 技術地圖查看器(后擬合)在 佈局佈線后顯示網表。這可能與映射后網表不同,因為擬合者可能會進行優化以滿足物理優化期間的約束。
RTL 查看器顯示合成工具在細化層次結構和主要功能塊后推斷的邏輯。
技術圖查看器顯示合成後的邏輯(“貼圖後視圖”)或放置和布線後的邏輯(“貼合後視圖”)。
網表與有限狀態機查看器
請在下面的影片中觀看 Quartus® 軟體 Netlist Viewer 和 Finite State Machine Viewer 的演示。
Intel® Quartus® Prime 網表查看器:有助於分析與調試設計的工具(第 1 部分)
Intel® Quartus® Prime RTL 查看器和狀態機查看器提供了在調試、優化和約束輸入過程中查看初始和完全映射合成結果的強大方法。
Intel® Quartus® Prime 網表查看器:有助於分析與調試設計的工具(第 2 部分)
Intel® Quartus® Prime RTL 查看器和狀態機查看器提供了在調試、優化和約束輸入過程中查看初始和完全映射合成結果的強大方法。
網表查看器資源
晶片規劃器
設計平面圖分析有助於在高度複雜的設計中關閉時序並確保最佳性能。Intel® Quartus® Prime 軟體中的 Chip Planner 可説明您快速關閉設計時序。您可以將 Chip Planner 與 Logic Lock Region 搭配使用,以分層方式編譯您的設計,並協助平面規劃。此外,使用分區可保留各個編譯運行的放置和路由結果。
您可以使用 Chip Planner 執行設計分析以及建立和優化設計平面圖。要進行 I/O 分配,請使用 Pin Planner。
晶片規劃師資源。
資源 | 類型 | 說明 |
---|---|---|
分析和優化設計平面圖 | 設計優化使用者指南:Intel® Quartus® Prime Pro Edition 章節 | 設計平面圖和晶元規劃器的主要檔。 |
晶片規劃師教學影片(第1部分,共2部分) | E2E 影片 | Chip Planner 教程:交叉參考時序路徑、扇入、扇出、路由延遲和頻率區域。 |
晶片規劃師教學影片(第 2 部分,共 2 部分) | E2E 影片 | Chip Planner 教程:路由利用率、設計元素搜索和邏輯鎖定區域。 |
使用 Quartus Chip Planner 和資源屬性編輯器進行 ECO 變更Intel FPGA(第 1 部分,共 3 部分) | E2E 影片 | 使用 Chip Planner 進行延遲的小型工程變更單 (ECO) 變更。 |
使用 Quartus Chip Planner 和資源屬性編輯器進行 ECO 變更Intel FPGA(第 2 部分,共 3 部分) | E2E 影片 | 使用 Chip Planner 進行後期小的 ECO 變更。 |
使用 Quartus Chip Planner 和資源屬性編輯器進行 ECO 變更Intel FPGA(第 3 部分,共 3 部分) | E2E 影片 | 使用 Chip Planner 進行後期小的 ECO 變更。 |
如何使用時序分析器和晶元規劃器追蹤 CDR 恢復頻率從收發器通道到 I/O 針腳的當地語系路由 | E2E 影片 | 如何將晶元規劃器與時間分析器配合使用的範例。 |
設計空間探索者II
Design Space Explorer II (DSE) 可讓您探索可用於設計編譯的許多參數。
您可以使用 DSE 管理具有不同參數的多個編譯,以找到能夠實現時序收斂的最佳參數組合。
設計空間探索器 II 資源。
資源 | 說明 |
---|---|
使用 Design Space Explorer II 進行優化 | 入門使用者指南:Intel® Quartus® Prime Pro Edition。 |
設計空間瀏覽器 (DSE) 設計範例 | 設計空間探索的範例。 |
使用設計空間瀏覽器 (ODSE) | 免費在線培訓,21 分鐘。 |
8. 片上調試
隨著FPGAs效能、尺寸和複雜性的增加,驗證過程可能成為FPGA設計週期的關鍵部分。為了減輕驗證過程的複雜性,Intel 提供了一系列片上調試工具。片上調試工具允許即時捕獲設計中的內部節點,説明您快速驗證設計,而無需使用台式邏輯分析儀或協定分析儀等外部設備。這可以減少板級訊號探測所需的針腳數量。有關調試產品群組中所有工具的指南,請參閱調試工具使用者指南:Intel® Quartus® Prime Pro Edition 中的系統調試工具部分。
資源 | 說明 |
---|---|
系統主控台 | 使用系統主控台分析和調試設計。 |
收發器原生 PHY 工具組。 | |
Signal Tap 邏輯分析器 | 使用 Signal Tap 邏輯分析器進行設計調試。 |
信號探頭 | Signal Probe 增量路由功能有助於縮短可程式設計晶片系統 (SOPC) 設計的硬體驗證過程和上市時間。 |
邏輯分析器介面 | 使用外部邏輯分析器進行系統內調試。 |
系統內來源和探測 | 使用 JTAG 驅動和採樣邏輯值。 |
系統記憶體內容編輯器 | Intel® Quartus® Prime 系統記憶體內容編輯器 (ISMCE) 允許透過 JTAG 介面在運行時查看和更新記憶體和常量。 |
虛擬 JTAG 介面 | 此Intel® FPGA IP允許您通過公開所有JTAG控制信號並配置JTAG指令寄存器(IR)和JTAG數據寄存器(DR)來構建自己的JTAG掃描鏈。 |
外部記憶體介面工具包可促進外部記憶體調試,外部 記憶體介面支援中心詳細介紹了該工具包。 收發器工具組提供了廣泛的工具來驗證收發器信號品質和效能。有關此工具組的更多資訊,請參閱 收發器工具組產品頁面。 |
片上調試設計範例
下面是一些示例,可説明你利用常見調試方案的可用功能。
單晶元調試 - 培訓課程
課程 | 持續時間 | 類型 | 課程編號 |
---|---|---|---|
SignalTap II 邏輯分析器:簡介與入門 | 47 分鐘 | 線上, 免費 | ODSW1164 |
SignalTap II 邏輯分析器:基本觸發條件與配置 | 35 分鐘 | 線上, 免費 | ODSW1171 |
Signal Tap Logic Analyzer:基於狀態的觸發、編譯和程式設計 | 37 分鐘 | 線上, 免費 | ODSW1172 |
SignalTap II 邏輯分析器:數據採集及其他功能 | 35 分鐘 | 線上, 免費 | ODSW1173 |
Intel® FPGA調試工具 | 8 小時 | 講師指導 | IDSW135 |
調試 JTAG 鏈完整性 | 26 分鐘 | 線上, 免費 | ODJTAG1110 |
Arria® 10 個裝置中記憶體介面 IP 的片上調試 | 30 分鐘 | 線上, 免費 | OMEM1124 |
系統主控台 | 29 分鐘 | 線上, 免費 | OEMB1117 |
使用 Platform Designer 進行進階系統設計:使用系統控制台進行系統驗證 | 26 分鐘 | 線上, 免費 | OAQSYSSYSCON |
片上調試 - 其他資源
資源 | 說明 |
---|---|
虛擬 JTAG Intel® FPGA IP 核心使用者指南 (PDF) | 虛擬 JTAG Intel® FPGA IP 核心可透過 JTAG 介面存取 PLD 源。 |
AN 323:在 SOPC Builder 系統中使用 SignalTap II 嵌入式邏輯分析儀 (PDF) | 使用 SignalTap 監視位於平台設計器生成的系統模組內的訊號。 |
AN 446:使用 SignalTap II 邏輯分析器對Nios® II系統進行除錯 (PDF) | 本應用筆記探討了 Signal Tap 邏輯分析儀中 Nios® II 外掛程式的使用,並介紹了外掛程式的功能、配置選項和使用模式。 |
AN 799:使用訊號探測器和快速重新編譯進行 Intel® Arria® 10 設計調試 (PDF) | 本應用筆記展示了一種調試技術,該技術可以輕鬆訪問內部器件信號而不影響設計。 |
進階主題
區塊式設計流程
Intel® Quartus® Prime Pro Edition 設計軟體提供了區塊式設計流程。有兩種類型 - 基於塊的增量編譯和設計塊重用流,它們允許您的地理上不同的開發團隊在設計上進行協作。
基於塊的增量編譯 是保留或清空專案中的分區。這適用於核心分區,不需要額外的檔或平面規劃。分區可以被清空、保存在源、合成和最終快照。
設計區塊重用流程使您能夠通過創建、保留和匯出分區在不同的專案中 重用設計的區塊 。使用此功能,您可以期待不同團隊之間的時序封閉模組。
區塊式設計資源
快速重新編譯
快速重新編譯允許在可能的情況下重用先前的合成和擬合結果,並且不會重新處理未更改的設計塊。在進行小的設計更改后,快速重新編譯可以減少總編譯時間。快速重新編譯支援 HDL 式功能性 ECO 變更,讓您能減少編譯時間,同時保持未變更邏輯的效能。
快速重新編譯 - 支持資源
資源 | 說明 |
---|---|
執行快速重新編譯 | Intel® Quartus® Prime Pro Edition 手冊第 2 卷中的快速重新編譯部分。 |
AN 799:使用訊號探測器和快速重新編譯進行 Intel® Arria® 10 設計調試 (PDF) | 展示快速重新編譯如何減少小改動編譯時間的應用說明。 |
部分重新配置
部分重新配置 (PR) 允許您動態重新配置FPGA的一部分,而其餘FPGA設計繼續運行。
您可以為設備的某個區域創建多個角色,並重新配置該區域,而不會影響該角色之外區域中的操作。
有關部分重新配置的詳細資訊,請參閱 部分重新配置頁面。
腳本
Intel® Quartus® Prime 和 Quartus® II 軟體包括對命令行和工具命令語言 (Tcl) 腳本設計流程的全面腳本支援。軟體設計流程每個階段(如合成、擬合和時序分析)的單獨可執行檔包括用於進行通用設置和執行常見任務的選項。Tcl 文稿應用程式程式設計介面 (API) 包括涵蓋從基本到高級功能的命令。
命令行腳本
您可以在批處理檔、shell 腳本、makefile 和其他腳本中使用Intel® Quartus® Prime 或 Quartus® II 軟體命令行可執行檔。例如,使用以下命令編譯現有專案:
$ quartus_sh --flow compile
Tcl 腳本
使用 Tcl API 執行以下任何任務:
- 創建和管理專案
- 進行分配
- 編譯設計
- 提取報告數據
- 執行時序分析
您可以從 Quartus® II 軟體 Tcl 範例網頁中的一些範例開始。下面列出了其他幾個資源。
腳本資源
資源 | 說明 |
---|---|
Quartus® II 腳本編寫參考手冊 | 涵蓋 Quartus 軟體命令行可執行檔和 Tcl 套件以及 Quartus® 軟體 shell 內的命令。 |
Quartus® Prime 標準版設定檔案參考手冊 | 涵蓋 Quartus® 軟體設定檔 (.qsf) 中的參數設定。 |
命令行腳本 | 《Intel Quartus Prime Standard Edition 使用者指南》的一部分。 |
Quartus® II Tcl 範例 | 包含幾個有用的 Tcl 腳本示例的網頁。 |
命令列文稿 (ODSW1197) | 介紹Intel® Quartus®軟體中命令行腳本功能的在線培訓(30 分鐘)。 |
Tcl 簡介 (ODSW1180) | Tcl 文本語法簡介。 |
Intel® Quartus® Prime 軟體 TCL 腳本 | 本課程介紹 Intel® Quartus® Prime 軟體中的 Tcl 腳本功能。它涵蓋了常用Intel Quartus Prime 軟體 Tcl 包和編譯流程中 Tcl 腳本的四種常見用法,並附有示例。 |
OpenCL 和 OpenCL 標誌是 Apple Inc. 的商標,經 Khronos 許可使用。
這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。