Intel® MAX® 10 FPGA – 單埠三倍速乙太網路和板載 PHY 晶片設計範例

Intel® MAX® 10 FPGA – 單埠三倍速乙太網路和板載 PHY 晶片設計範例

714819
10/9/2018

簡介

此設計範例演示了Intel® MAX® 10 裝置系列的三倍速乙太網路 IP 解決方案,在 Intel MAX 10 FPGA 開發工具組上使用三倍速乙太網Intel FPGA IP和 Marvell 88E1111 PHY 晶片。它提供了靈活的測試和演示平臺,您可以在這些平臺上使用系統環回來控制、測試和監視乙太網路操作。在此設計中,單埠三倍速乙太網路 MAC 通過減少十億位元媒體獨立介面 (RGMII) 連接到板載 PHY 晶片。

設計詳細資訊

裝置系列

Intel® MAX® 10 FPGA

Quartus 版本

Intel® Quartus® Prime Standard Edition 軟體

Quartus 版本

18.0

IP 核心數 (0)

詳細說明

在 Quartus Prime 軟體 GUI(版本 14.1 及更高版本)中準備設計範本


: 下載設計範例後,必須準備設計範本。您下載的檔為 <project>.par 檔的形式,其中包含設計檔的壓縮版本(類似于 .qar 檔)和描述專案的中繼資料。這些資訊的組合構成了一個<專案>.par檔。在 16.0 或更高版本中,您只需按兩下 <project>.par 檔,Quartus 就會啟動該專案。


啟動專案範本的第二種方法是通過新建專案嚮導(檔 ->新建專案嚮導)。在第一個面板上輸入專案名稱和資料夾後,第二個面板將要求您指定一個空的專案或專案範本。選擇專案範本。您將看到您之前載入的設計範本專案清單,以及包含各種開發工具組的引腳排列和設置的各種「基線引腳排列設計」。如果您沒有在清單中看到您的設計範本,請按一下下面圈出的「安裝設計範本」連結:



流覽到您下載的 <project>.par 檔,按一下下一步,然後按一下完成,您的設計範本將安裝並顯示在 Quartus 的「專案導航器」窗格中。


注意:當設計作為設計範本存儲在設計商店中時,之前已針對所述版本的 Quartus 軟體進行迴歸測試。回歸可確保設計範本通過 Quartus 設計流程中的分析/合成/裝配/裝配步驟。



在 Quartus Prime 軟體命令列中準備設計範本


在命令列中,鍵入以下命令:

quartus_sh --platform_install -package <project directory>/<project>.par


完成此過程後,鍵入:

quartus_sh --平臺名稱 <專案>



注意:

* ACDS版本:18.0.0標準版


設計詳細資訊

裝置系列

Intel® MAX® 10 FPGA

Quartus 版本

Intel® Quartus® Prime Standard Edition 軟體

Quartus 版本

18.0