Intel Agilex® 7 FPGA - 使用 QSPI 快閃記憶體訪問和遠端系統更新的郵箱用戶端設計示例

Intel Agilex® 7 FPGA - 使用 QSPI 快閃記憶體訪問和遠端系統更新的郵箱用戶端設計示例

790643
10/12/2023

簡介

此參考設計在具有 QSPI 快閃記憶體訪問和遠端系統更新的Intel Agilex® 7 FPGA中實現郵箱用戶端 IP 核心。

設計詳細資訊

裝置系列

Intel® Agilex™ 7 FPGA 與 SoC FPGA F 系列

Quartus 版本

Intel® Quartus® Prime Pro Edition 軟體

Quartus 版本

23.3

IP 核心數 (23)
IP 核心 IP 核心類別
Altera In-System Sources & Probes SimulationDebugVerification
Reset Controller QsysInterconnect
MM Interconnect QsysInterconnect
Avalon-MM Master Translator QsysInterconnect
Avalon-MM Slave Translator QsysInterconnect
altera_config_stream_endpoint Debug & Performance
altera_jtag_avalon_master QsysInterconnect
Avalon Packets to Transaction Converter QsysInterconnect
Avalon-ST Single Clock FIFO QsysInterconnect
Avalon-ST Channel Adapter QsysInterconnect
Avalon-ST Bytes to Packets Converter QsysInterconnect
Avalon-ST JTAG Interface QsysInterconnect
Avalon-ST Packets to Bytes Converter QsysInterconnect
Avalon-ST Timing Adapter QsysInterconnect
Top level generated instrumentation fabric Debug & Performance
Altera SDM Mbox Bridge Configuration and Programming
Altera SDM GPO Configuration and Programming
Altera SDM GPI Configuration and Programming
Altera FPGA2SDM Bridge Configuration and Programming
Altera SDM IRQ Configuration and Programming
Altera SDM2FPGA Bridge Configuration and Programming
Avalon-ST Handshake Clock Crosser QsysInterconnect
Memory-Mapped Multiplexer QsysInterconnect

詳細說明

郵箱用戶端Intel FPGA IP是主機和安全裝置管理員 (SDM) 之間的橋樑。郵箱用戶端Intel FPGA IP用於將命令發送到 SDM 並將回應返回給主機。郵箱用戶端Intel FPGA IP是必須連接到 Avalon MM 主伺服器的 Avalon MM 從屬元件。


在此參考設計中,JTAG 到 Avalon 主橋接器 IP 充當連接到郵箱用戶端Intel FPGA IP核心的主控制器。JTAG 到 Avalon 主橋接器 IP 將從系統主控台接收的命令轉換為郵箱用戶端Intel FPGA IP所需的Avalon記憶體對應 (Avalon MM) 格式。然後,郵箱用戶端Intel FPGA IP驅動命令並從 SDM 接收回應。


rsu1.tcl 腳本提供了執行 SDM 支援的可用命令功能的示例。您可以執行 Intel Quartus Prime Pro 軟體的 rsu1.tcl 腳本 vie 系統主控台中提供的功能,執行以下操作:

  • 讀取FPGA識別碼
  • 讀取FPGA晶片 ID
  • QSPI 快閃記憶體存取作業,例如讀取和寫入快閃記憶體
  • 遠端系統更新 (RSU) 操作,例如讀取 RSU 狀態、觸發對快閃記憶體中另一個映射的重新配置以及在快閃記憶體中更新配置映射。

rsu1.tcl 腳本可以從下面提供的連結下載。

HTTPs://www.intel.com/content/dam/altera-www/global/en_US/others/support/devices/configuration/rsu1.tcl


欲瞭解更多詳情

1. 請參閱《郵箱用戶端Intel FPGA IP使用者指南》


2. 請參閱第 4 章。Intel Agilex配置使用者指南中的遠端系統更新 (RSU)


在 Quartus Prime 軟體 GUI(版本 14.1 及更高版本)中準備設計範本


: 下載設計範例後,必須準備設計範本。您下載的檔為 <project>.par 檔的形式,其中包含設計檔的壓縮版本(類似于 .qar 檔)和描述專案的中繼資料。這些資訊的組合構成了一個<專案>.par檔。在 16.0 或更高版本中,您只需按兩下 <project>.par 檔,Quartus 就會啟動該專案。


啟動專案範本的第二種方法是通過新建專案嚮導(檔 ->新建專案嚮導)。在第一個面板上輸入專案名稱和資料夾後,第二個面板將要求您指定一個空的專案或專案範本。選擇專案範本。您將看到您之前載入的設計範本專案清單,以及包含各種開發工具組的引腳排列和設置的各種「基線引腳排列設計」。如果您沒有在清單中看到您的設計範本,請按一下下面圈出的「安裝設計範本」連結:



流覽到您下載的 <project>.par 檔,按一下下一步,然後按一下完成,您的設計範本將安裝並顯示在 Quartus 的「專案導航器」窗格中。


注意:當設計作為設計範本存儲在設計商店中時,之前已針對所述版本的 Quartus 軟體進行迴歸測試。回歸可確保設計範本通過 Quartus 設計流程中的分析/合成/裝配/裝配步驟。



在 Quartus Prime 軟體命令列中準備設計範本


在命令列中,鍵入以下命令:

quartus_sh --platform_install -package <project directory>/<project>.par


完成此過程後,鍵入:

quartus_sh --平臺名稱 <專案>

設計詳細資訊

裝置系列

Intel® Agilex™ 7 FPGA 與 SoC FPGA F 系列

Quartus 版本

Intel® Quartus® Prime Pro Edition 軟體

Quartus 版本

23.3