示範 AXI 記憶體設計範例

建議:

  • 裝置:未知

  • Quartus®:v13.0

author-image

作者

此設計範例展示了適用于 Qsys 系統的簡單 Verilog 自訂記憶體元件上的 AMBA* AXI*-3 從屬介面。您可以使用這個範例作為您自訂 AXI 從屬介面的基礎。該元件還包括選用的 Avalon® 串流 (Avalon-ST) 介面,以及 Avalon®記憶體對映 (Avalon-MM) 介面,展示如何在一個元件中使用多種介面標準。

Quartus® II 手冊使用此範例來說明使用元件編輯和自訂硬體 Tcl 指令包裝智慧財產 (IP) 元件的過程。您可以在此範例中使用 HDL 檔案,協助您瞭解如何使用元件編輯器建立您自己的簡單硬體 Tcl (_hw.tcl)檔案,如 建立Qsys 元件 (PDF)章節所述。您也可以參閱包含的_hw.tcl檔案,查看硬體 Tcl 指令的進階用途,包括衍生參數、驗證回撥,以及詳細的回撥,以自訂 Qsys 和目前介面中的參數化介面為可選。如需硬體 Tcl 指令的詳細資訊,請參閱元件介面 Tcl 參考檔 (PDF)章節。

如需更多有關使用 Qsys 建立包含自訂群組件的系統的資訊,請參閱 Quartus II 手冊中的 「建立具有 Qsys (PDF) 系統的系統 」一章。

使用此設計範例

demo_axi3_memory.zip下載檔案並解壓縮內容。此設計範例需要Altera®完整設計套件 (ACDS) v13.0 或更高版本。

ZIP 檔案包含以下 IP 元件檔案在 /ip 子取向中:

  • 設計檔案 demo_axi_memory.svsingle_clock_ram.sv

  • 自訂硬體 Tcl 檔案 demo_axi_memory_hw.tcl 來封裝 Qsys 的元件
  • 用於在模擬輸出中產生訊息的 SystemVerilog 套件檔案 /verification_lib/verbosity_pkg.sv (也可以在 Quartus II 軟體安裝目錄中找到,如 /ip/altera/sopc_builder_ip/驗證/生化/verbosity_pkg.sv)

若要在您自己的 Qsys 系統中使用此元件,請將從擷取的 ZIP 檔案中的/ip子目錄複寫到您的 Quartus II 專案目錄。當您在專案中建立或開啟 Qsys 系統時,Qsys 會偵測/ip子導向中的 IP 元件,並將元件新增到程式庫專案區段的清單中,屬於「記憶體與記憶體控制器/晶片」類別。

ZIP 檔案也包含下列檔案,以模擬獨立元件,位於 /ip/模擬 子標題中:

  • 測試台檔案 tb_mem.sv
  • 在 Mentor Graphics® ModelSim* 中執行模擬的腳本run_sim.tcl,mem.do檔顯示顯示元件運作的波形

若要在 ModelSim 中執行模擬,請將您的工作目錄設為從擷取的 ZIP 檔案中的 /ip/模擬 子目錄。輸入來源 run_sim.tcl。

此外,ZIP 檔案包含下列 Quartus II 軟體檔案:

  • 簡單的 Qsys 系統 測試.qsys 以說明 Qsys 系統中元件的即時化
  • dtics Quartus II 軟體專案檔案,讓您可以選擇性地產生並編譯測試 Qsys 系統 :test.qpf、test.qsf、test.sdc

若要檢視作為測試 Qsys 系統的一部分即時化的元件,請在 Quartus II 軟體中開啟test.qpf專案檔案,並在 Qsys 中開啟test.qsys檔案。連按兩下系統內容標籤名稱描述欄中的元件(或在元件上按一下滑鼠右鍵並選擇編輯),以檢視參數編輯器。

此設計之使用受 Intel® 設計範例授權合約的條款與細則約束,並受其約束。

設計詳細資訊

範例 Qsys 元件包含下列介面:

AXI-3 從機介面

AXI 從屬介面是晶片上記憶體區塊的記憶體對映介面。此介面旨在由 AXI 或 Avalon-MM 主介面控制,該介面可從記憶體區塊寫入和讀取。參數指定 AXI ID 訊號寬度、從屬位址寬度和資料寬度。

Avalon-ST 介面

當您在 Qsys 中立即使用此元件時,您可以選擇啟用或停用選用的 Avalon-ST 原始碼介面。此介面受到電話電話應用程式的啟發,其中音調 (DTMF、忙碌、撥號音、回傳等) 皆儲存為重複的位元組串流,可視需要切換到特定埠。Avalon-ST 埠提供一個這樣的串流,由開始位址、停止位址和儲存在這兩個位址之間的 RAM 中的資料(包括)定義。文字依從開始位址到停止位址的輸出順序排列;每個詞會先輸出 MSB。此示範版本假設停止位址大於開始位址。

例如,請考慮下列記憶體內容:
開始位址 :0xbeef_0742
0xdace_32f7
停止位址 :0xb0de_13ef
產生的位元組串流會是:be-ef-07-42-da-ce-32-f7-b0-de-13-ef-be-ef-07...

Avalon-MM CSR 介面

Avalon-MM 介面是一個簡單的控制和狀態暫存器 (CSR) 介面,可控制上述的串流埠。僅在啟用 Avalon-ST 介面時才需要此介面,並且在停用 Avalon-ST 介面時停用。參數指定Avalon®從屬位址寬度和資料寬度。

控制器位於一個位址範圍中,與記憶體的位址範圍不同,其基本位址可在系統記憶體地圖中方便的任何地方分配。下表列出每個控制器的用途:

Qsys 參數編輯器

下列螢幕擷取畫面顯示 Qsys 中 Demo AXI Memory 元件的參數編輯器 UI。

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。