計時分析器建立產生的頻率命令

author-image

作者

計時分析器會考慮將傳入或主機頻率的特性修改或變更為產生頻率的頻率分流器、波紋頻率或電路。您應該將這些電路的輸出定義為產生的頻率。這個定義讓計時分析器可以分析這些頻率,並解釋與它們相關的任何網路延遲。

您可以使用 create_generated_clock 指令來建立產生的頻率。下列清單顯示 create_generated_clock 命令和可用選項:

create_generated_clock
   [名稱 <clock name>]
   -來源 <host 針腳>
   [邊緣<列>]
   [-edge_shift <保護清單>]
   [-divide_by <factor>]
   [-multiply_by < >]
   [-duty_cycle <%>]
   [-add]
   [-invert] [-host_clock <clock>]
   [相<phase>]
   [-偏移<offset>]
   <來源物件>

表 1 說明 create_generated_clock 指令的選項。

來源延遲系根據主機頻率 (不一定是主機針腳) 的頻率網路延遲。您可以使用 set_clock_latency源 指令來覆寫來源延遲。

圖 1 顯示以下 SDC 指令的波形,以 10 ns 頻率建立倒置產生的頻率。

create_clock-期間 10 [get_ports clk] create_generated_clock
-divide_by 1 -invert-source [get_registers clk] \
    [get_registers gen|clkreg]

圖 1。產生倒置頻率。

圖 2 顯示以下 SDC 指令的波形,這些指令使用 -edges-edge_shift 選項修改產生的頻率。

create_clock-期間 10-waveform { 0 5 = [get_ports clk]
# 建立一個按 2 個頻率
create_generated_clock-來源 [get_ports clk] -edges { 1 3 5 \
    [get_registers clkdivA|clkreg]
# 建立 獨立于主機頻率工作週期的分 2 個頻率現在為 50%)create_generated_clock-
來源 [get_ports clk] -edges { 1 1 5 \ -edge_shift  0 5 0 \
    [get_registers clkdivB|clkreg]

圖 2。邊緣與邊緣轉移產生的頻率。

圖 3 顯示下列 SDC 指令的 wafeforms,顯示 -乘乘 選項對產生頻率的效果。

create_clock-期間 10 -waveform { 0 5 [ [get_ports clk] # 建立乘乘
2 頻率
create_generated_clock-來源 [get_ports clk] -multiply_by 2 \
    [get_registers clkmult|clkreg]

圖 3。將產生的頻率倍增。

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。