文章 ID: 000074551 內容類型: 錯誤訊息 最近查看日期: 2012 年 10 月 03 日

警告 (*):正在篩選的篩檢程式:sv_reconfig_pma_testbus_clk無法與頻率相符

環境

  • Intel® Quartus® II 訂閱版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    描述

    由於 Quartus® II 軟體版本 12.0sp2 和更早版本的問題,如果您的設計具有多個 Altera®收發器重新配置控制器,則在安裝(地點和路線)時可能會看到此警告。

    解決方法

    為了解決這個問題,對於收發器重新配置控制器所忽略的「sv_reconfig_pma_testbus_clk」限制,增加新的「create_generated_clock」限制。新的限制應新增到使用者 SDC 檔案中。以下是兩個以INST_A和INST_B命名的重新配置控制器的范例。

    create_generated_clock-name sv_reconfig_pma_testbus_clk_A───來源[get_pins-compatibility_mode-no_duplicates INST_A*|basic|s5|reg_init[0]|clk]-divide_by 1 [get_registers INST_A*sv_xcvr_reconfig_basic:s5|*alt_xcvr_arbiter:pif*|*grant*]

    create_generated_clock-name sv_reconfig_pma_testbus_clk_B ───來源[get_pins-compatibility_mode-no_duplicates INST_B*|basic|s5|reg_init[0]|clk]-divide_by 1 [get_registers INST_B*sv_xcvr_reconfig_basic:s5|*alt_xcvr_arbiter:pif*|*grant*]

    這個問題預定在未來版本的 Quartus II 軟體中解決。

    相關產品

    本文章適用於 2 產品

    Stratix® V GS FPGA
    Stratix® IV GX FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。