文章 ID: 000075507 內容類型: 疑難排解 最近查看日期: 2015 年 05 月 20 日

低延遲 40-100GbE CAUI-4 測試台無法在 ModelSim 模擬器中成功模擬

環境

  • Intel® Quartus® II 訂閱版
  • 模擬
  • 乙太網路
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    重大問題

    描述

    低延遲所產生的Altera型測試台 40-100GbE IP 核心 CAUI-4 變異無法成功模擬 在 ModelSim 模擬器中。

    解決方法

    此問題沒有針對所有受影響的一般解決方法進行測試 IP 核心版本。然而,有下列解決方法可用 適用于 IP 核心版本 15.0:

    1. 為您的 CAUI-4 IP 產生測試台 核心變異。指令可在 低端提供 延遲 40 和 100-Gbps 乙太網路 MAC 和 PHY MegaCore 功能使用者 指南
    2. 在此位置開 啟 run_vsim.do 腳本 使用者指南中指定。腳本包含一個聲明,其中 識別通往 msim_setup.tcl 檔案的 路徑。
    3. 在文字編輯器中開 啟 msim_setup.tcl 檔案。
    4. 在和 elab_debug 任務中 elab , 將文字 eval vsim -t ps 替換為文字 eval vsim -t 100fs
    5. 根據其中的說明執行測試台 使用者指南。測試台應能成功模擬。

    這個問題將在日後的低延遲版本中解決 40 與 100-Gbps 乙太網路 MAC 與 PHY MegaCore 功能。

    相關產品

    本文章適用於 1 產品

    Intel® 可程式裝置

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。