文章 ID: 000075697 內容類型: 疑難排解 最近查看日期: 2012 年 09 月 11 日

為什麼我要看到「avalon_master.writedata 和avl.avl_wdata之間的連接必須是 [8,16,32,64,128,256,512,1024] 與採用 UniPHY 的 DDR2 (3) SDRAM 控制器之間的寬度?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
描述

如果 DDR2 (3) SDRAM 控制器在 Qsys 中實作 UniPHY,則設定不會使用 2 Avalon® 資料匯流排,主介面和 DDR2 (3) 控制器上的從屬介面之間只能連接一個介面。如果您將 2 位高手連接到 DDR2 (3) SDRAM 控制器,您將會看到上述訊息。

如果需要多重連線至 DDR2 (3) 控制器上的Avalon從屬介面,請將主機的寫入和讀取資料訊號的大小提升至 2 的更大功率,並在主連線和 DDR2 (3) 控制器上的從屬介面之間執行簡單的匯流排墊片 (自訂群組件)。墊片只會傳遞所有訊號,除了寫入和讀取資料。

若為寫入資料,墊片只會傳遞所需的資料位數。例如,如果所需的記憶體介面為 72 位,則半速率控制器資料寬度為 288。主機會將資料匯流排四捨五入至 512,然後用 224 '0 's 填入寫入資料,而墊片則只是通過所需的 288 位。

--透過墊片主介面將所需的資料位傳送至 DDR

avm_m0_writedata

若為讀取資料,墊片會以「0」補上前 288 位。

--建立墊片恒定

持續PAD_DATA:std_logic_vector(287 下至 0):= (其他 =>'0');

--透過墊片的從屬介面傳送讀取資料給主機

avs_s0_readdata

 

相關產品

本文章適用於 1 產品

Intel® 可程式裝置

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。