文章 ID: 000075949 內容類型: 疑難排解 最近查看日期: 2013 年 06 月 25 日

{:值 0 超出目標限制範圍 (1 到 2147483647)

環境

  • Intel® Quartus® II 訂閱版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    描述

    由於 Quartus® II 軟體版本 13.0 中的問題,在合成產生 VHDL 的 Qsys 系統時可能會看到此錯誤。  HDL 編寫者散佈錯誤的資料類型「正向」,導致 VHDL 元件宣告不相容。

    解決方法

    若要解決 Quartus II 軟體版本 13.0 中的此問題:
    - 選擇 Verilog 以進行 Qsys GUI 中的合成

    - 在文字編輯器中編輯_hw.tcl,將參數類型從「正向」變更為整數」

    此問題目前已排定為未來版本的 Quartus II 軟體修復。

    相關產品

    本文章適用於 1 產品

    Intel® 可程式裝置

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。