文章 ID: 000076732 內容類型: 疑難排解 最近查看日期: 2020 年 11 月 06 日

為什麼我的 eCPRI VHDL 變異Intel® FPGA IP無法模擬 Synopsys VCS MX®?

環境

  • Intel® Quartus® Prime Pro Edition 軟體
  • Intel® CPRI
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    重大問題

    描述

    由於 Intel® Quartus® Prime 軟體版本 20.1 及更新版本的問題,在 Synopsys VCS MX* 中使用 eCPRI Intel® FPGA IP的 VHDL 變異時,模擬器的編譯器會標記錯誤,指出參數「ADV_MAP」有類型不匹配。

    解決方法

    若要在 Intel® Quartus® Prime 版本 20.1 和更新版本中解決這個問題,請按照以下步驟操作:

     

    1) 在此找到您 eCPRI Intel® FPGA IP所產生的頂級包裝檔案:

           /sim


     

    2) 將指派至參數「ADV_MAP」的每個「開啟」或「關閉」字串分別變更為整數10,如下所示:

     

    ADV_MAP:字串:= 「開啟」;

     

    ADV_MAP:整數 := 1;

     

    ADV_MAP =>「關閉」,

     

    ADV_MAP => 0,

     

    此問題從 Intel® Quartus® Prime 軟體版本 20.3 開始修復。

    相關產品

    本文章適用於 2 產品

    Intel® Stratix® 10 FPGA 與 SoC FPGA
    Intel® Arria® 10 FPGA 與 SoC FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。