文章 ID: 000078707 內容類型: 疑難排解 最近查看日期: 2013 年 04 月 15 日

套件 ieee 的維護/警告。STD_LOGIC_ARITH,內建功能結果設定為「X」,因為在操作/內建功能CONV_INTEGER/TO_INTEGER論調太大時,出現(「U」、「X」、「W」、「Z」、「-」)。

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
描述

使用具有 SOPC Builder 或 Qsys 所產生的 VHDL 檔案的 Cadence 模擬工具時,您可能會看到此警告。此警告對模擬結果沒有任何影響,而且可以安全地予以取用。

解決方法

在 Cadence 模擬工具中的下列 Tcl 命令可抑制此警告訊息:

ncsim> set pack_assert_off {std_logic_arith}

相關產品

本文章適用於 1 產品

Intel® 可程式裝置

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。