文章 ID: 000082143 內容類型: 疑難排解 最近查看日期: 2012 年 12 月 03 日

當我使用 Update Memory 初始化流程時,為什麼我的程式設計檔沒有更新?

環境

  • Intel® Quartus® II 訂閱版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    描述 由於 Quartus® II 軟體版本 12.0 SP2 和更早版本的問題,更新記憶體初始化 ( quartus_cdb --update_mif ) 流程無法用於針對 V 和 Arria® V 裝置Cyclone®設計。由於此問題,不會產生錯誤,但程式設計檔案並未更新。
    解決方法 此問題從 Quartus II 軟體版本 12.1 開始修復

    相關產品

    本文章適用於 11 產品

    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Cyclone® V GX FPGA
    Arria® V GX FPGA
    Arria® V GZ FPGA
    Arria® V SX SoC FPGA
    Arria® V GT FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Cyclone® V E FPGA
    Cyclone® V SE SoC FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。