文章 ID: 000083319 內容類型: 疑難排解 最近查看日期: 2023 年 02 月 16 日

進階 SEU 偵測與故障注入 IPs

環境

  • Intel® Quartus® Prime Pro Edition 軟體
  • 進階 SEU 偵測器 Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    描述

    由於 Intel® Quartus® Prime 軟體的問題,您可能會看到計時分析器關於沒有限制頻率的警告。

    要正確操作 SEU IP(進階 SEU 偵測和故障注入 IP),需要時間限制。使用者必須在 Synopsys* 設計限制 (.sdc) 檔案中提供這些限制。

     

     

    解決方法

    若要解決此問題,請 這些 限制 直接新增到現有的 SDC 限制檔案中,或將其放入單獨的檔案中(例如「seu_constraints.sdc」)

     

    SEU IP 的編號限制

    create_clock───name insc-期間 10.000 [get_nets {*|alt_fault_injection_component|alt_fi_inst|intosc[]

    create_generated_clock───姓名 emr_unloader_STATE_CLOCKHIGH─來源 [get_nets {*|alt_fi_inst|intosc[][get_keepers {*altera_emr_unloader:emr_unloader_component|current_state。STATE_CLOCKHIGH[]

    create_generated_clock───姓名 asd_current_state_MISS──來源 [get_nets {*|alt_fi_inst|intosc[][get_keepers {*|asd_cache:asd_cache_inst|current_state。STATE_MISS[]

    create_generated_clock──姓名 asd_cpuread_oneshot-來源 [get_nets {*|alt_fi_inst|intosc[][get_keepers {*|asd_cache:asd_cache_inst|asd_ext_oneshot:cpuread_oneshot|last[]

     

    set_clock_groups──獨家 -群組 [get_clocks {emr_unloader_STATE_CLOCKHIGH[]

    set_clock_groups -專屬 -群組 [get_clocks {asd_current_state_MISS[]

    set_clock_groups -專屬 -群組 [get_clocks {asd_cpuread_oneshot[]

     

     

    相關產品

    本文章適用於 4 產品

    Intel® Arria® 10 FPGA 與 SoC FPGA
    Intel® Cyclone® 10 FPGA
    Arria® V FPGA 與 SoC FPGA
    Stratix® V FPGA

    這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。