文章 ID: 000084684 內容類型: 疑難排解 最近查看日期: 2012 年 09 月 11 日

為什麼高效能 (HP) DDR2 控制器使用者指南圖 4-4 中缺少「local_burstbegin」訊號,該指南說明完整速率寫入avalon記憶體對應介面?

環境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
描述

在 DDR/DDR2 HP 控制器使用者指南中的圖 4-4 中,記憶體對應波形的完整速率寫入avalon缺少local_burstbegin訊號。Local_burstbegin遵循Avalon規格,稱為開始斷層轉移。Avalon 介面規格 (PDF) 中表 3-1 將此訊號描述為「無論等待要求和其他訊號如何,每個傳輸的第一個週期都由系統互連網狀架構所維護。」

在寫入的 DDR2 HP 控制器中,local_burstbegin應該在每次突然轉移開始時維護一個頻率週期。即使從local_ready(Avalon中稱為waitrequest_n),它也只能維持在每次突然轉移的一個週期內。如果從local_ready不再主張,主必須保留所有其他要求訊號(local_write_req、local_addr、local_size等),直到local_ready再次高高,但local_burstbegin不應信守。

local_burstbegin也用於讀取擷取區,當提出讀取要求時,在一個頻率週期內,應該讀取資料的local_address會傳送給記憶體。如果local_ready(Avalon中稱為waitrequest_n)遭到破壞,主機必須保留所有要求訊號(local_read_req、local_address、本機大小等),直到local_ready再次高高,但local_burstbegin不應信守。

相關產品

本文章適用於 2 產品

Stratix® III FPGA
Stratix® II FPGA

這個頁面的內容綜合了英文原始內容的人工翻譯譯文與機器翻譯譯文。本內容是基於一般資訊目的,方便您參考而提供,不應視同完整或準確的內容。如果這個頁面的英文版與譯文之間發生任何牴觸,將受英文版規範及管轄。 查看這個頁面的英文版。